A Chiplet Marketplace for Next-Generation Performance

Chiplets

We’re using our experience in standardisation and our role in the centre of a diverse ecosystem to help SoC designers innovate for the future. With chiplets, process nodes can be optimised for subsystems rather than using expensive process nodes. Innovators are already embracing this approach, and a vibrant chiplet marketplace is on the horizon, creating a flexible path to custom silicon that helps reduce costs and allows existing components to become building blocks for your custom chip.

Building the Chiplet Marketplace Together

Arm’s position at the center of a diverse ecosystem, coupled with our tools and standardization initiatives, are helping to ensure interoperability and performance, while reducing complexity and time to market.

 

Arm is unique in offering true flexibility with multiple reusable IP components built into larger systems, either through our platform offerings or our partners. This means that the chiplet ecosystem is already happening today, and we're building a rich multivendor chiplet ecosystem in the future.

 

We are already making proactive investments into system architecture and industry standards such as AMBA, which will further define system-level capabilities and help our chiplet market expand and thrive on Arm. Our history, which is already built on flexibility and composability, makes us the perfect fit, as chiplets are an extension to our day-to-day business.

Challenges

Achieving Interoperability icon

Achieving Interoperability

A “drag-and-drop” composable ecosystem has significant technical barriers thanks to divergent standards, compatibility, testing, and validation bottlenecks, plus scalability and futureproofing.

Balancing Cost Hypothesis

Balancing Cost Hypothesis

Chiplet integration brings with it a unique challenge — how to strike the perfect balance between innovation and cost effectiveness. As you reduce some costs, some inevitably rise. There are trade offs between manufacturing/design, NRE, unit, and packaging costs.

Security icon

Security

While chiplet technology introduces unprecedented opportunities for innovation, it also brings forth a new frontier of security challenges. Vigilant consideration of these potential attack vectors, coupled with proactive security measures, is essential to foster trust in chiplet-based systems and safeguard against emerging threats in the dynamic landscape of semiconductor technology.

Hype vs. Defragmentation icon

Hype vs. Defragmentation

the challenges of chiplet design underscore the need for a balanced approach and the industry must exercise prudence to prevent unintended fragmentation due to hype. Cleverly re-using successes from monolithic systems, prioritizing standardization, and fostering ecosystem collaboration plays pivotal roles in ensuring the successful integration of chiplet technology into the broader semiconductor landscape.

Hardening Complexities Limited Design Freedom icon

Hardening Complexities Limited Design Freedom

The challenges of hardening complexities and limited design freedom in the chiplet world highlight the nuanced nature of this technological shift. Balancing standardization with the need for innovation is at the heart of successful chiplet design, requiring a strategic and collaborative approach to navigate the intricate landscape of modern semiconductor architectures.

Arm’s Approach

Industry Experimentation

 

We will enable partners to experiment with early chiplet designs, alongside a primarily monolithic marketplace.

Customized Collaboration

 

This enables multi-vendor systems across multiple dies (custom engagements and interfaces).

Chiplets Become Interchangeable

 

In time, we will see chiplets being used for systems not originally intended, thanks to standards that enable reuse.

Long-term Goal

 


Our vision is an 'Arm-based open chiplet marketplace', complete with composable and reusable solutions (eg. PCB-level integration).

Standards Will Enable a Thriving Chiplet Ecosystem at Pace

To truly deliver on the opportunity this technology presents, reaching this third phase is critical – but it will not happen without significant and focused collaboration and investment in standardization. The work outlined above will enable the ecosystem early, as they start their multi-year journey towards reusable chiplets.

 

While Arm is already delivering the IP compute solutions which are foundational for the chiplet ecosystem, but the industry must still come together to define system-level capabilities to avoid fragmentation.

Chiplets

Building Chiplets on Arm

While mass market adoption of chiplets is likely to take many years to reach mass-market adoption, the flexibility of the Arm platform is enabling the emerging chiplet market today - it’s a natural progression in our heritage of enabling partners to build custom silicon solutions quickly with the flexibility they need. As we continue to deliver the compute, performance efficiency and software solutions the industry demands in an increasingly complex landscape. Our solutions and standards are already a reality in high-performance infrastructure applications today, and they will be quickly followed by the automotive market. We’re already enabling a thriving, diverse chiplet ecosystem built on Arm.

Arm Total Design

Arm Total Design is an ecosystem committed to frictionless delivery of custom SoCs based on Neoverse CSS. It unites industry leaders to accelerate and simplify the development of Neoverse CSS-based systems, including Arm-based chiplets which will propel the journey towards a chiplet marketplace.

Learn More
Partner Chiplet News 
Tech Topics

Emerging Trends

As a technology ecosystem, we must prepare for future computing needs early and collaborate with industry to create the necessary IP and solutions long before they’re needed.

Our ongoing series of tech trends highlights the opportunities and challenges presented by each emerging technology, and offers guidance on how to prepare.

Learn More